Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

топ 100 блогов panchul19.04.2017 Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

24-29 квітня в Києві пройде серія семінарів для школярів, які не будуть схожі на звичайні класи з вбудованим процесорам, які стандартно використовують Лего / Ардуіно / Расберрі Пай. Головний фокус семінарів - не навчити програмувати процесори, а показати принципи, як процесори проектуються всередині. Все одно, що різниця між "навчити водити машину" і "навчити проектувати двигун". На семінарах школярі будуть використовувати мову опису апарату Verilog і логічний синтез - технології, які використовують розробники цифрових мікросхем в Apple, Samsung і інших електронних компаніях.

Головна офіційна сторінка семінару - http://ukrtronics.strikingly.com/

Для вправ використовуються плати з ПЛІС - матрицями логічних елементів із змінною функцією (це не звичайний "процесор + пам'ять"). ПЛІС давно використовуються для навчання студентів проектування електроніки в Стенфорді і МІТ, і більш недавно - в українських вузах. Використання ПЛІС для навчання школярів - це експеримент, і у вас є шанс в ньому брати участь. Для полегшення введення в Verilog і ПЛІС ми спочатку займемося вправами з мікросхемами малого ступеня інтеграції на макетних платах, що не потребують пайки. Потім ми займемося ПЛІС і в останній день семінарів порівняємо проектування ПЛІС з програмуванням вбудованого процесора для інтернету речей.

Ви нарешті побачите те, що відбувається між транзистором і Ардуіно!

Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017 Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

Програма для старших школярів і молодших студентів

Понеділок 24 квітня. Починаємо шлях до ПЛІС: комбінаційна логіка і двійкова арифметика на мікросхемах малого ступеню інтеграції

Місце: Студентський простір Belka КПІ

  • 16:00-17:00 Олександр Барабанов, КНУ. Від фізики до логіки.

    • Що таке струм, напруга і опір.
    • Як влаштована макетна плата.
    • Вправа 1. Перша схема з батарейкою, світлодіодом і резистором. Навіщо вмикати резистор у ланцюжок зі світлодіодом.
    • Що таке транзистори і як із них будуються логічні елементи.
    • Вправа 2. Спостерігаємо роботу транзистора.

  • 17:00-18:00 Юрій Панчул, Imagination Technologies. Булева алгебра логіки, логічні елементи І-АБО-НЕ.

    • Вправа 3. Кожному учню видається персональна мікросхема логіки серії CMOS 4000, з технічним описом від виробника, із завданням намалювати таблицю істинності, продемонструвати її роботу і словесно описати функцію. Логічні елементи AND, OR, XOR, NOR, NAND з різним числом входів.
    • Вправа 4. Вивчаємо, що таке підтягуючі резистори і навіщо вони потрібні. Додаємо до Вправи 3 кнопки і підтягуючі резистори.

  • 18:00-19:00 Двійкова арифметика, додавання і множення.

    • Вправа 5. Кожному учневі видається суматор CMOS 4008. Демонстрація роботи суматора на макетній платі.

  • 19:00-21:00 Додаткові вправи для тих, хто впорався із вправами 1-5 і не хоче йти додому.

    • Вправа 6. Семисегментний індикатор і його драйвер, як приклад комбінаційної схеми. Виведення результату роботи суматора на семисегментний індикатор.

Вівторок 25 квітня. Додаємо пам'ять: послідовнісна логіка на мікросхемах малого ступеня інтеграції.

  • 16:00-16:30 Юрій Панчул. Послідовнісна логіка - це те, що робить комп'ютер "розумним", вона дає йому пам'ять і можливість повторювати операції.

  • 16:30-17:00 Юрій Панчул й інструктори з КПІ.

    • Що таке D-тригер.
    • Вправа 7. Приєднуємо генератор тактового сигналу на основі 555 таймеру до D-тригеру і досліджуємо роботу складеної схеми.

  • 17:00-19:00 Більш складні елементи послідовнісної логіки.

    • Вправа 8. Регістр зсуву і рухомі вогники.
    • Вправа 9. Лічильник з виведенням стану на семисегментний індикатор.

  • 19:00-21:00 Євген Короткий, КПІ. Додаткові вправи для тих, хто впорався із вправами 6-8 і не хоче йти додому.

    • Вправа 10. Досліджуємо генератор тактового сигналу на основі мікросхеми 555 таймеру. Спостерігаємо, як змінюється період тактової частоти в залежності від опорів резисторів і ємності конденсатору.
Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

Середа 26 квітня. Комбінаційна логіка і двійкова арифметика на Verilog і ПЛІС.

Місце: Студентський простір Belka КПІ.

  • 16:00-16:30 Юрій Панчул, а також інструктори з КПІ, КНУ. Швидкий вступ до мови опису апаратури Verilog і використання логічного синтезу для ПЛІС / FPGA. Розглядаємо лише комбінаційну логіку.

  • 16:30-17:00 Інструктори з КПІ, КНУ. Комбінаційна логіка на Verilog.

    • Вправа 11. Повторюємо вправу 2 (логічні елементи) на ПЛІС з використанням плати Digilent CMOD A7 35T: Breadboardable Artix-7 FPGA Module.
    • Вправа 12. Виводимо перші літери свого імені та прізвища на семисегментний індикатор, перемикаючись між ними за допомогою кнопки.
    • Вправа 13. Повторюємо Вправу 3 (суматор) на ПЛІС. Результат виводимо на семисегментний індикатор.

  • 19:00-21:00. Додаткові вправи для тих, хто впорався із вправами 9-11 і не хоче додому.

    • Вправа 14. Ієрархія модулів. Побудова мультиплексорів з підмодулів.
    • Вправа 15. Моделювання Verilog коду без ПЛІС. Створюємо середовище для перевірки роботи схеми, описаної на Verilog. Використовуємо симулятор Icarus Verilog для моделювання і програму GTKWave для перегляду часових діаграм.

Четвер 27 квітня. Послідовнісна логіка та кінцеві автомати на Verilog і ПЛІС.

Місце: Студентський простір Belka КПІ.

  • 16:00-17:00. Юрій Панчул. Послідовнісна логіка на Verilog, кінцеві автомати, концепція методології RTL (рівень регістрових передач), затримки розповсюдження сигналів і обмеження на процедуру синтезу цифрових схем, визначення максимально можливої тактової частоти роботи цифрової схеми.

  • 17:00-19:00. Інструктори з КПІ, КНУ. Послідовнісна логіка на Verilog.

    • Вправа 16. Лічильник з виведенням стану на семисегментний індикатор. Реалізація Вправи 9 на ПЛІС.
    • Вправа 17. Регістр зсуву і рухомі вогники. Реалізація Вправи 8 на ПЛІС.
    • Вправа 18. Генерація звукових тонів різної частоти та їх накладання.

  • 19:00-21:00. Додаткові вправи для тих, хто впорався із вправами 17-18 і хоче працювати далі.

    • Вправа 19. «Равлик посміхається»: приклад кінцевого автомата.
    • Вправа 20. Будуємо арифметичний конвеєр. Використовуємо симулятор Icarus Verilog для налагодження роботи послідовнісних схем.
Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

П'ятниця 28 квітня. Порівняння проектування схем з програмуванням вбудованих мікропроцесорів.

Місце: Студентський простір Belka КПІ.

  • 16:00-16:30. Юрій Панчул. Чим програмування відрізняється від схемотехніки. Машина фон-Неймана - особливий випадок електронної схеми. Програми, як ланцюжки інструкцій для машини фон-Неймана. Огляд мікропроцесорного ядра, що використовується в MediaTek MT768 і Microchip PIC32MZ.

  • 16:30-19:00 Євген Короткий. Приклад: платформа для Інтернету Речей LinkIt Smart 7688 на основі MediaTek MT7688.

    • Вправа 21. Блимаємо світлодіодом за допомогою програми на Python.
    • Вправа 22. Зчитуємо дані з датчику освітленості Digilent PMOD ALS за допомогою протоколу SPI.
    • Вправа 23. Вступ до концепції переривань.

  • 19:00-21:00. Додаткові вправи для тих, хто впорався з вправами на LinkIt Smart 7688 і хоче продовжувати роботу. Приклад: Microchip PIC32 мікроконтролер.

    • Вправа 24. Блимаємо світлодіодом за допомогою програми на мові Сі.
    • Вправа 25. Зчитуємо дані з датчику освітленості Digilent PMOD ALS за допомогою протоколу SPI.
    • Вправа 26. Розвантажуємо основну програму, використовуючи переривання. Переривання від таймеру запускає SPI транзакцію, переривання від SPI сигналізує про отримання пакету.
Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

Субота 29 квітня. Хакатон

Місце: Національний університет «Києво-Могилянська академія»

  • Проекти з мікросхемами малого ступеню інтеграції: "Детектор вологості грунту", "Поліцейська сирена"
  • Проекти з ПЛІС: інтерфейси для сенсорів, кінцеві автомати типу "кодовий замок"
  • Проекти з мікроконтролерами і вбудовані мікропроцесори для Інтернету Речей: з'єднання з сенсорами і актуаторами
Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

Програма для молодших школярів

Квітневий інтенсив «Вступ до електроніки»

Понеділок 24 квітня. Знайомство з основами: закон Ома, пасивні електронні елементи (резистори і конденсатори)

Місце проведення: офіс ТОВ «Радіомаг Україна», Чоколівський бульвар, 42-А

Час: 17:00-19:00

Лектор: Сергій Ченаш

  • Що таке струм, напруга і опір, закон Ома
  • Як влаштована макетна плата
  • Як працювати з мультиметром
  • Резистори та їх застосування
  • Конденсатор як накопичувач електричного заряду та його застосування

Вівторок 25 квітня. Активні електронні компоненти: будова та принципи роботи діодів і транзисторів

Місце проведення: офіс ТОВ «Радіомаг Україна», Чоколівський бульвар, 42-А

Час: 17:00-19:00

Лектор: Сергій Ченаш

  • Характеристики напівпровідників, будова p-n-переходу
  • Як працюють діоди та їх класифікація
  • Принципи роботи транзисторів та їх застосування

Четвер 27 квітня. Дослідження елементів оптоелектроніки: світлодіоди, фоторезистори, фототранзистори, оптопари

Місце проведення: офіс ТОВ «Радіомаг Україна», Чоколівський бульвар, 42-А

Час: 17:00-19:00

Лектор: Сергій Ченаш

  • Елементи оптоелектроніки, принципи роботи та застосування

П'ятниця 28 квітня. Знайомство з мікросхемою NE555

Місце проведення: офіс ТОВ «Радіомаг Україна», Чоколівський бульвар, 42-А

Час: 17:00-19:00

Лектор: Сергій Ченаш

  • Що таке мікросхеми та їх класифікації
  • Типи сигналів в електроніці
  • Знайомство з мікросхемою NE555, створення генератора
  • Робота з осцилографом

Субота 29 квітня. Бонусне заняття з пайки

Місце проведення: офіс ТОВ «Радіомаг Україна», Чоколівський бульвар, 42-А

Час: 11:00-12:30

Тиждень цифровий мікроелектроніки в Києві - 24-29 квітня 2017

До зустрічі на семінарах!

  • Відкрита лабораторія електроніки Lampa і Студентський простір Belka, Національний технічний університет України «Київський політехнічний інститут імені Ігоря Сікорського»
  • Київський національний університет імені Тараса Шевченка
  • Національний університет «Києво-Могилянська академія»
  • Мала академія наук України
  • ТОВ "Радіомаг України"
  • Imagination Technologies

Оставить комментарий

Архив записей в блогах:
Перефразируя Змея - есть версия открытого капитализма для себя и на экспорт. Поразительная компания вокруг Тиктока. Тик-ток победил вчистую фейсбуки, инстаграммы и твиттеры за умы молодежи. После чего федеральные власти начали гасить успешного китайского гиганта в лучшем стиле начала ...
И дисциплинарные методы интересные ...
Сегодня все окончательно должны были убедиться: т.н. оппозиционные партии почти не отличаются от ЕР. Только масштаб помельче, а так всё то же самое. По главной новостной теме дня — законе о запрете для сирот-инвалидов выезжать в Америку — я уже ...
Вот так. Загорелся. Сам. А еще Роман Старовойт(губернатор Курска): "Сегодня ночью, в 2:45, многие потребляют Курска слышали хлопки. Детали совещания уточняются. По предварительным данным сработала система ПВО. Жертв и разрушений нет. Подробности сообщу чуть позже" пишет о ...
Здравствуйте уважаемые. Начнем сегодня с любопытной девушки с непростой судьбой. Ее нередко ассоциировали с Марианной из романа Джейн Остин «Чувство и чувствительность», но если честнео, меня всегда удивлялл такое сравнение. Хотя, возможно, я невнимательно читал эту книгу - да и давно это ...